文章来源:淘论文网   发布者: 毕业设计   浏览量: 23



还可以点击去查询以下关键词:
[语言]    [序列]    [检测器]    [EDA及VHDL语言序列检测器]   

基于EDA的序列检测器的设计
摘要:在通讯系统中,为了保证信息的可靠传输,一般需要在发送端加入固定的同步码组,而在接受断则需要检出该同步码组,保证信息的可靠接受,接收端的同步码检测器就是用来检测同步码组的电路,中间用到的码型检测电路部分实际上就是一个序列检测器,本文将采用VHDL硬件描述语言实现序列信号检测器的设计
关键词:序列检测,EDA,VHDL


毕业设计说明书目录
1 引言………………………………………………………………………11
2 方案简介…………………………………………………………………12
2.1方案一:……………………………………………………………12
用状态机设计一个序列检测器
2.2方案二:……………………………………………………………12
用JK触发器完成“1111”序列检测器的设计
  3 各方案设计和论证………………………………………………………13
3.1 方案设计和论证……………………………………………………13
 3.1.1方案一…………………………………………………………13
3.1.2方案二…………………………………………………………17
3.2方案比较……………………………………………………………21
 4软件设计…………………………………………………………………23
 4.1程序流程…………………………………………………………23
4.2系统程序…………………………………………………………25
5系统调试…………………………………………………………………26
         6参考文献…………………………………………………………………35

参考文献
(1)邓元庆,贾鹏  数字电路与系统设计。西安:西安电子科技大学出版社,2003
(2)康华光  电子技术基础。第四版。北京:高等教育出版社,1999
(3)任长明,刘锡海  数字系统逻辑设计技术,天津:天津大学出版社,1992
(4)江晓安,董秀峰,杨颂华。数字电子技术,西安:西安电子科技大学出版社,2002



 


这里还有:


还可以点击去查询:
[语言]    [序列]    [检测器]    [EDA及VHDL语言序列检测器]   

请扫码加微信 微信号:sj52abcd


下载地址: http://www.taolw.com/down/10188.docx
  • 上一篇:基于EDA及VHDL语言序列检测器仿真设计
  • 下一篇:基于EDA及VHDL语言的电子秒表的设计
  • 资源信息

    格式: docx