文章来源:淘论文网   发布者: 毕业设计   浏览量: 41



还可以点击去查询以下关键词:
[技术]    [新型]    [频率计]    [EDA技术的新型频率计]   


基于EDA技术的新型频率计设计
摘    要
数字频率计是一种基本的测量仪器。它被广泛应用与航天、电子、测控等领域。它的基本测量原理是,首先让被测信号与标准信号一起通过一个闸门,然后用计数器计数信号脉冲的个数,把标准时间内的计数的结果,用锁存器锁存起来,最后用显示译码器,把锁存的结果用LED数码显示管显示出来。
     根据数字频率计的基本原理,本文设计方案的基本思想是分为五个模块来实现其功能,即整个数字频率计系统分为分频模块、控制模块、计数模块、锁存器模块和显示模块等几个单元,并且分别用VHDL对其进行编程,实现了闸门控制信号、计数电路、锁存电路、显示电路等。
而且,本设计方案还要求,被测输入信号的频率范围自动切换量程,控制小数点显示位置,并以十进制形式显示。本文详细论述了利用VHDL硬件描述语言设计,并在EDA(电子设计自动化)工具的帮助下,用大规模可编程器件(CPLD)实现数字频率计的设计原理及相关程序。特点是:无论底层还是顶层文件均用VHDL(硬件语言)语言编写,避免了用电路图设计时所引起的毛刺现象;改变了以往数字电路小规模多器件组合的设计方法。该设计方案通过了Max+plusⅡ软件仿真、硬件调试和软硬件综合测试。

目   录
设计任务和要求   …………………………………………………………………………2
设计前言 ……………………………………………………………………………………3
摘要 …………………………………………………………………………………………4
第一章.  EDA技术概论  …………………………………………………………………5
       一. EDA的技术的主要内容  ……………………………………………………5
       二. EDA设计电路的优势  ………………………………………………………6
       三. EDA的发展趋势 …………………………………………………………… 7
第二章.  频率计的设计原理  ……………………………………………………………7
        一. 设计原理   …………………………………………………………………7
        二. 公式计   ……………………………………………………………………9
        三. 整体设计原理图  …………………………………………………………10
第三章.  频率计的模块设计 ……………………………………………………………10
        一. 频率计的各组成部分  ……………………………………………………10
        二. 频率计的各模块设计  ……………………………………………………11
             1. 分频模块  ……………………………………………………………11
             2. 数码管片选信号模块  ………………………………………………13
             3. 数码管的核心模块设计  ……………………………………………14
             4. 锁存模块  ……………………………………………………………19
             5. 输出模块  ……………………………………………………………20
             6.四线-----七段译码管设计  ……………………………………………22
第四章.  硬件显示电路   ………………………………………………………………23
      一. 显示原理简述  ………………………………………………………………23
      二. 原理图  ………………………………………………………………………23
第五章.  安装和调试   …………………………………………………………………24
      一. 安装方法  ……………………………………………………………………24
      二. 下载方法  ……………………………………………………………………24
小结  ………………………………………………………………………………………24
主要参考文献  ……………………………………………………………………………25


主要参考文献

#p#分页标题#e#

(1)卢毅,赖杰 VHDL与数字电路设计   北京:科学出版社,2001
(2)潘松 VHDL实用教程   成都:电子科技大学出版社,2000
(3)徐志军 大规模可编程逻辑器件及其应用   成都:电子科技大学出版社,2000
(4)赵曙光 可编程逻辑器件原理、开发与应用  西安:西安电子科技大学出版社,2000
(5)薛萍,陈海燕,裴树军 基于ISP芯片的可编程数字频率计的设计 ,电测与仪表,2002
(6)顾巨峰,周浩洋,朱建华 基于可编程逻辑器件(Lattice)的多功能数字频率计 ,电子工程师,2002
(7)黄仁欣,EDA技术使用教程    清华大学出版社


这里还有:


还可以点击去查询:
[技术]    [新型]    [频率计]    [EDA技术的新型频率计]   

请扫码加微信 微信号:sj52abcd


下载地址: http://www.taolw.com/down/10190.docx
  • 上一篇:基于FPGA的8位数字频率计的设计
  • 下一篇:基于EDA及VHDL语言序列检测器仿真设计
  • 资源信息

    格式: docx