文章来源:淘论文网   发布者: 毕业设计   浏览量: 51



还可以点击去查询以下关键词:
[数字]    [频率计]    [FPGA的8位数字频率计]   


基于FPGA的数字频率计设计
摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更加重要。通过运用Verilog HDL语言,采用Top To Down的方法,实现8位数字频率计,并利用Quartus Ⅱ集成开发环境进行编辑、综合、波形仿真、并下载到FPGA器件中,经实际电路测试,该系统性能可靠。
关键词: 硬件描述语言 、现场可编程门阵列 、频率计 、频率测量

毕业设计说明书目录
1. 引言------------------------------------------------------------14
2. 方案论证--------------------------------------------------------14
2.1方案一:采用单片机进行测频控制--------------------------------14
2.2方案二:采用现场可编程门阵列(FPGA)为控制核心-----------------16
2.3方案的选择---------------------------------------------------18
2.4本章小结-----------------------------------------------------19
3. 硬件电路设计------------------------------------------------19
3.1顶层电路设计-------------------------------------------------19
3.2功能模块设计-------------------------------------------------20
3.3电源电路设计-------------------------------------------------27
3.4输入信号整形模块设计-----------------------------------------28
3.5本章小结-----------------------------------------------------28
4. 软件设计--------------------------------------------------------28
4.1程序流程-----------------------------------------------------28
4.2程序---------------------------------------------------------29
4.3本章小结-----------------------------------------------------32
5.软硬件系统的调试------------------------------------------------32
5.1测试的环境---------------------------------------------------32
5.2调试和器件编-------------------------------------------------33
5.3软硬件测试---------------------------------------------------33
5.4本章小结-----------------------------------------------------34
6.参考文献---------------------------------------------------------34


参考文献
[1]赵曙光、郭万有、杨颁华编著可编程逻辑器件原理、开发与应用[M]西安电子科技大学出版社,2000。
[2]陈赜,主编 朱如琪、罗杰、王建明,鲁放编著CPLD/FPGA与ASIC设计实践教程, 科学出版社出版,北京。
[3]徐志军,大规模可编程逻辑器件及其应用[M].成都:电子科技大学出版。[4]李辉编著  PLD与数字系统设计[M].成都:电子科技大学出版。                                                     [5]杜建国编著  Verilog HDL硬件描述语言  国防工业出版社。
[6]赵雅兴.FPGA原理、设计与应用[M].天津:天津大学出版社,1999。
[7]李景华,杜玉远.可编程逻辑器件与EDA技术[M].沈阳:东北大学出版             社,2002。
[8]顾巨峰,周浩洋,朱建华被于可编程逻辑器件(Lattice)的多功能数字频率计[J]2002。
[9]付家才,EDA原理与应用 化学工业出版社 2001。
[10]Data book,2004 ALTERA.
[11]刘宝琴,张芳兰. ALTERA可编程逻辑器件及其应用. 北京:清华大学出版社,1995 
[12]赵立民. 可编程逻辑器件与数字系统. 北京:机械工业出版社,2004 
[13]胡振华. VHDL与FPGA设计.北京:中国铁道出版社,2003
[14]金西.VHDL与复杂数字系统设计.西安:西安电子科技大学出版社,2003
[15]杨恒. FPGA/VHDL快速工程实践入门与提高.北京:北京航空航天大学出版社,2003
[16]潘松,赵敏笑编著2002.EDA技术及其应用.北京:科学出版社
[17]卢毅,赖杰盫HDL与数字电路设计[M].北京:科学出版社,2001
[18]潘松盫HDL实用教程[M].成都:电子科技大学出版社,2000
[19]徐志军贝蠊婺?杀喑搪呒器件及其应用[M].成都:电子科技大学出版社,2000
[20]赵曙光笨杀喑搪呒器件原理、开发与应用[M].西安:西安电子科技大学出版社,2000
[21]薛萍,陈海燕,裴树军被于ISP芯片的可编程数字频率计的设计[J].电测与仪表,2002,(2):2123
[22]顾巨峰,周浩洋,朱建华被于可编程逻辑器件(Lattice)的多功能数字频率计[J]钡缱庸こ淌Γ2002,(1):2832豹ハ执电子技术



 


这里还有:


还可以点击去查询:
[数字]    [频率计]    [FPGA的8位数字频率计]   

请扫码加微信 微信号:sj52abcd


下载地址: http://www.taolw.com/down/10191.docx
  • 上一篇:基于FPGA的DDS信号发生器的设计
  • 下一篇:基于EDA技术的新型频率计设计
  • 资源信息

    格式: docx